Improving the computational efficiency of modular operations for embedded systems


SAN İ., AT N.

JOURNAL OF SYSTEMS ARCHITECTURE, cilt.60, sa.5, ss.440-451, 2014 (SCI-Expanded) identifier identifier

  • Yayın Türü: Makale / Tam Makale
  • Cilt numarası: 60 Sayı: 5
  • Basım Tarihi: 2014
  • Doi Numarası: 10.1016/j.sysarc.2013.10.013
  • Dergi Adı: JOURNAL OF SYSTEMS ARCHITECTURE
  • Derginin Tarandığı İndeksler: Science Citation Index Expanded (SCI-EXPANDED), Scopus
  • Sayfa Sayıları: ss.440-451
  • Anahtar Kelimeler: Public-key cryptography, Modular arithmetic, Acceleration, FPGA, System-on-chip, MULTIPLICATION, MULTIPLIERS
  • Anadolu Üniversitesi Adresli: Evet

Özet

Security protocols such as IPSec, SSL and VPNs used in many communication systems employ various cryptographic algorithms in order to protect the data from malicious attacks. Thanks to public-key cryptography, a public channel which is exposed to security risks can be used for secure communication in such protocols without needing to agree on a shared key at the beginning of the communication. Public-key cryptosystems such as RSA, Rabin and ElGamal cryptosystems are used for various security services such as key exchange and key distribution between communicating nodes and many authentication protocols. Such public-key cryptosystems usually depend on modular arithmetic operations including modular multiplication and exponentiation. These mathematical operations are computationally intensive and fundamental arithmetic operations which are intensively used in many fields including cryptography, number theory, finite field arithmetic, and so on. This paper is devoted to the analysis of modular arithmetic operations and the improvement of the computation of modular multiplication and exponentiation from hardware design perspective based on FPGA. Two of the well-known algorithms namely Montgomery modular multiplication and Karatsuba algorithms are exploited together within our high-speed pipelined hardware architecture. Our proposed design presents an efficient solution for a range of applications where area and performance are both important. The proposed coprocessor offers scalability which means that it supports different security levels with a cost of performance. We also build a system-on-chip design using Xilinx's latest Zynq-7000 family extensible processing platform to show how our proposed design improve the processing time of modular arithmetic operations for embedded systems. (C) 2013 Elsevier B.V. All rights reserved.